Click here for EDACafe
Search:  
Click here for IBSystems
  Home | EDA Weekly | Companies | Downloads | e-Catalog | IP | Audio | Forums | News | Resources |
  Check Email | Submit Material | Universities | Books | Events | Advertise | PCBCafe| Subscription | techjobscafe |  ItZnewz  |  RSS  |
Celoxica Video & Imaging IP
www.mentor.com/dft
Synopsys - Now with SystemVerilog testbench
 EDACafe  - Innovation Through Collaboration EDA Portal, EDA News, EDA Jobs, EDA Presentations, EDA Newsgroups, Electronic Design Automation.
Review the article and give us your feedbackeMail Article to a friend Printer Friendly version of the Article

Bluespec Joins The SPIRIT Consortium to Advance IP Reuse Interoperability Standards for SoC Design; EDA Company Adds ESL Synthesis Expertise to Further Standards Development



Rate This Article
Excellent
Good
Average
Bad
Poor
WALTHAM, Mass.—(BUSINESS WIRE)—Jan. 17, 2006— Bluespec Inc., developer of the only ESL synthesis toolset for control logic and complex datapaths in chip design, has joined The SPIRIT Consortium with the goal to advance interoperability standards for intellectual property (IP) reuse in system-on-chip (SoC) design.

Bluespec's decision to become a member of The SPIRIT Consortium, a global organization focused on establishing multi-faceted IP/tool integration standards that drive sustainable growth in electronic design, was due to The Consortium's design reuse standardization efforts. "We're active promoters of design reuse and interoperability," says George Harper, Bluespec's vice president of marketing, who notes that its underlying synthesis technology automates many aspects of reuse. "We are eager to contribute our expertise to The SPIRIT Consortium."

Adds Ralph von Vignau, chairman of The SPIRIT Consortium: "The Consortium welcomes Bluespec. Their understanding of the flow from ESL design to implementation will be helpful to the Consortium as we extend our current specification to cover comprehensive interoperability between tools and support of ESL."

About Bluespec

Bluespec Inc. manufactures an industry standards-based Electronic Design Automation (EDA) toolset that significantly raises the level of abstraction for hardware design while retaining the ability to automatically synthesize high-quality RTL, without compromising speed, power or area. The toolset, the only one focused on control and complex datapaths, allows ASIC and FPGA designers to reduce design time, bugs and re-spins that contribute to product delays and escalating costs. More information can be found on www.bluespec.com or by calling (781) 250-2200.

Copyright 2006 Bluespec, Inc. Bluespec is a trademark of Bluespec, Inc. All other brands, products, or service names may be trademarks or service marks of the companies with which they are associated.



Contact:
Bluespec Inc.
George Harper, 781-250-2200
Email Contact
or
Public Relations for Bluespec
Nanette Collins, 617-437-1822
Email Contact



Review ArticleBe the first to review this article
www.mentor.com/pcb
Synopsys - Now With SystemVerilog testbench
Celoxica DSP & FPGA Solutions
One Vendor - Total Solutions - Your Souce for IP


Click here for Internet Business Systems Copyright 1994 - 2006, Internet Business Systems, Inc.
1-888-44-WEB-44 --- Contact us, or visit our other sites:
AECCafe  DCCCafe  TechJobsCafe  GISCafe  MCADCafe  NanoTechCafe  PCBCafe  
  Privacy Policy